Mobile
VHDL Online Help Prev Page Prev Page
Table of Contents
Access Type
Aggregate
Alias
Allocator
Architecture
Array
Assertion Statement
Attributes (predefined)
Attributes (user-defined)
Bit
Bit_Vector
Block Statement
Boolean
Case Statement
Character Type
Component Declaration
Component Instantiation
Composite Type
Concatenation
Configuration Declaration
Configuration Specification
Constant
Delay
Driver
Entity
Enumeration Type
Event
Exit Statement
Expression
File Declaration
File Type
Floating Point Type
Function
Generate Statement
Generic
Group
Guard
Identifier
If Statement
Integer Type
Library Clause
Literal
Loop Statement
Name
Next Statement
Null Statement
Operator Overloading
Operators
Package
Package Body
Physical Type
Port
Procedure
Process Statement
Range
Record Type
Report Statement
Reserved Word
Resolution Function
Resume
Return Statement
Scalar Type
Sensitivity List
Signal Assignment
Signal Declaration
Slice
Standard Package
Std_Logic
Std_Logic_1164 Package
Std_Logic_Vector
String
Subtype
Suspend
Testbench
Type
Type Conversion
Use Clause
Variable Assignment
Variable Declaration
Vector
VITAL
Wait Statement
Waveform

Reserved Word

Definition:

The reserved word is an identifier reserved in the VHDL language for a special purpose.

Description

The reserved words cannot be used as explicitly declared identifiers. The complete list of reserved words is given below:

abs
after
alias
all
and
architecture
array
assert
attribute
 
begin
block
body
buffer
bus
 
case
component
configuration
constant
 
disconnect
downto
 
else
elsif
end
entity
exit
 
file
for
function
 
generate
generic
group
guarded
 
if
impure
in
inertial
inout
is
 
label
library
linkage
literal
loop
 
map
mod
 
nand
new
next
nor
not
null
 
of
on
open
or
others
out
 
package
port
postponed
procedure
process
pure
 
range
record
register
reject
rem
report
return
rol
ror
 
select
severity
signal
shared
sla
sll
sra
srl
subtype
 
then
to
transport
type
 
unaffected
units
until
use
 
variable
 
wait
when
while
with
 
xnor
xor

Important Notes

  • VHDL is case insensitive, therefore there is no difference using either uppercase or lowercase for reserved words.

  • If an identifier is placed between leading and trailing backslashes, it becomes an extended identifier and is no longer a reserved word (e.g. \port\ is not a reserved word).

 

Powered by IXwebhosting